iabbrev ar architecture iabbrev pr process iabbrev et entity iabbrev lie library ieee; iabbrev ustd use ieee.std_logic_1164.all; iabbrev unum use ieee.numeric_std.all;