7 lines
222 B
VimL
7 lines
222 B
VimL
iabbrev <buffer> ar architecture
|
|
iabbrev <buffer> pr process
|
|
iabbrev <buffer> et entity
|
|
iabbrev <buffer> lie library ieee;
|
|
iabbrev <buffer> ustd use ieee.std_logic_1164.all;
|
|
iabbrev <buffer> unum use ieee.numeric_std.all;
|